数字逻辑电路基础第二版pdf免费版高清版|百度网盘下载

编者评论:逻辑代数和硬件描述语言基础

基于硬件描述语言HDL编写,这两种最流行的硬件描述语言已经成为IEEE标准,由C语言演变而来,数字逻辑电路基础第二版pdf免费版列举大量基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计实例,供读者参考。

数字逻辑电路基础第二版pdf免费版

数字逻辑电路基础第二版蒋国强图片预览

目录

目录

第一章数制与编码(一)

11 概述(一)

111 模拟电子技术与数字电子学

技术(1)

112脉冲信号与数字信号(一)

113 数字电路的特性(二)

12数制及其转换(二)

13 个代码 (5)

131 二进制?十进制代码(5)

132字符编码(6)

本章小结(七)

思考题和练习(7)

第 2 章逻辑代数和硬件描述语言

基本 (9)

逻辑代数的21个基本概念(9)

211逻辑常数和逻辑变量(9)

212基本逻辑与复合逻辑(9)

213 逻辑函数的表示(13)

214 逻辑函数的相等性(15)

22代数算法(16)

221个逻辑代数的基本公式(16)

222逻辑代数基本定理(16)

223个逻辑代数的常用公式(17)

224异或公式(19)

23个逻辑函数的表达式(19)

231逻辑函数的常用表达式(19)

232 逻辑函数的标准表达式(20)

24 简化逻辑函数(22)

241 逻辑函数化简的意义(22)

242 逻辑函数的公式化简(23)

243 逻辑函数的卡诺图

简化 (24)

25VerilogHDL基础(28)

251VerilogHDL 设计模块

基本结构(29)

252VerilogHDL 词法 (30)

253 条 VerilogHDL 语句 (36)

254 个不同的抽象级别

VerilogHDL 模型(42)

本章小结(43)

思考题和练习 (43)

第 3 章门电路 (45)

31 概述 (45)

32个晶体二极管和三极管

开关特性 (46)

321晶体二极管的开关特性(46)

322晶体管的开关特性(50)

33 个分立元件门 (54)

331 二极管与门 (54)

332 二极管或门 (55)

333 三极管非门(56)

334 个复合逻辑门 (56)

335 正负逻辑(58)

34TTL集成门(58个)

341TTL 集成与非门 (59)

342TTL与非门(60)的外部特性

343TTL与非门主要参数(64)

344TTL与非门改进电路(65)

345TTL其他类型的集成

电路 (66)

346TTL集成电路冗余输入端

处理 (68)

347TTL电路系列产品(69)

35 种其他类型的双极集成

电路 (69)

351ECL 电路 (69)

352I2L 电路 (70)

36MOS集成栅极(70个)

361MOS管(70个)

362MOS逆变器(72)

363MOS门(74)

364CMOS门的外部特性(77)

37 基于VerilogHDL的门电路

设计 (78)

371 使用赋值语句的建模方法

门电路的实现说明(79)

372 使用门级组件实例化建模

描述门电路(80)

本章小结(81)

思考题和练习 (81)

第4章组合逻辑电路(85)

41 概述 (85)

411组合逻辑电路结构与

特征 (85)

412组合逻辑电路分析

方法(85)

413组合逻辑电路设计

方法(86)

42一些常用的组合逻辑电路(90)

421算术运算电路(90)

422 编码器 (92)

423 解码器 (94)

424 数据选择器 (98)

425 数值比较器 (100)

426 奇偶校验 (102)

43 组合逻辑电路设计(104)

431采用中型集成组件

实现组合逻辑电路 (104)

432 基于 VerilogHDL 的组合

逻辑电路设计(108)

44 组合逻辑电路大赛-冒险

现象 (118)

章节摘要(120)

思考题和练习 (121)

第 5 章触发器 (124)

51 概述 (124)

52 个基本 RS 触发器 (124)

521 与非门的基础知识

RS 触发器 (125)

522由一个基本的或非门组成

RS 触发器 (127)

53 个时钟触发器 (128)

54 个集成触发器 (132)

541主从JK触发器(132)

542 边沿 JK 触发器 (134)

543 维护-阻塞结构集成

触发器 (135)

触发器之间的 55 次转换 (136)

56 个基于 VerilogHDL 的触发器

设计 (138)

561基本RS触发器的设计(138)

562D 锁扣设计 (139)

563D 触发器设计 (140)

564JK触发器(141)的设计

章节总结(142)

思考题和练习 (142)

第6章时序逻辑电路(145)

61 概述 (145)

62 个寄存器和移位寄存器 (148)

621 个寄存器 (148)

622移位寄存器(148)

623集成移位寄存器(150)

63 个计数器 (152)

631 同步计数器分析 (152)

632 异步计数器分析(155)

633综合计数器(159)

64个时序逻辑电路的设计(162)

641 同步计数器设计 (163)

642 异步计数器设计 (166)

643移位计数器(169)的设计

644通用同步时序逻辑电路

设计 (172)

65 基于 VerilogHDL 的时序

逻辑电路设计(174)

651 数字寄存器设计(174)

652移位寄存器(176)的设计

653计数器的设计(177)

654序列脉冲发生器的设计(181)

655序列信号发生器的设计(182)

656序列信号检测器(184)的设计

章节总结(184)

思考题和练习 (185)

第7章脉冲单元电路(188)

71 概述 (188)

711脉冲单元电路的分类,

结构和波形参数 (188)

712个脉冲波形参数分析

方法 (189)

713555 定时器 (189)

72 个施密特触发器 (191)

721使用555定时器组成一个shmi

特殊触发器 (191)

722 集成施密特触发器 (193)

73 单稳态 (194)

731使用555定时器组成单稳态

触发器 (194)

732 集成单稳态 (195)

74 多谐振荡器 (198)

741使用555定时器形成多谐波

振荡器 (198)

742利用门电路形成多谐振荡器

振荡器 (200)

743石英晶振(201)

744利用施密特电路组成多谐振荡器

振荡器 (201)

章节摘要(202)

思考题和练习 (202)

第 8 章 D/A 和 A/D 转换(204)

81 概述 (204)

82 位数字/模拟 (D/A) 转换 (205)

821D/A转换器的结构(205)

822D/A转换器的主要技术

指标 (209)

823 集成 D/A 转换器 (210)

83 模拟/数字 (A/D) 转换 (211)

831A/D转换器(212)的基本原理

832A/D 转换器的类型 (214)

833A/D转换器的主要技术

指标 (218)

834 集成 A/D 转换器 (219)

本章小结(220)

思考题和练习 (221)

第9章半导体存储器(222)

91 概述 (222)

911半导体存储器(222)的结构

912半导体存储器分类(223)

92 内存 (223)

921 SRAM

(SRAM)(223)

922 内存

(DRAM) (224)

923 RAM 典型芯片(225)

924 RAM 扩展 (226)

93 ROM (228)

931 固定 ROM (228)

932 可编程只读存储器 (229)

933 可擦可编程只读

内存 (229)

934ROM(230)的应用

935 可编程逻辑阵列 PLA (231)

94 基于 VerilogHDL 的内存

设计 (232)

941RAM 设计 (232)

942ROM(234)的设计

章节摘要(235)

思考题和练习 (236)

第 10 章可编程逻辑器件 (237)

101 PLD 基础知识 (237)

1011PLD(237)的分类

1012 阵列 PLD (239)

1013 现场可编程门阵列

(FPGA)(243)

1014 基于查找表 (LUT)

结构 (245)

102PLD设计技术(247)

1021PLD设计方法(248)

1022PLD设计流程(248)

1023 系统中的可编程技术 (251)

1024 边界扫描技术 (253)

103PLD编程与配置(254)

1031CPLD ISP模式编程(255)

1032使用PC的并口配置

FPGA (256)

章节摘要(256)

思考题和练习 (257)

附录A国产半导体集成电路型号

命名法 (GB3430�D82) (258)

参考文献

简介

全书共10章,包括数制与编码、逻辑代数与硬件描述语言基础、门电路、组合逻辑电路、触发器、时序逻辑电路、脉冲单元电路、数模与模拟- 数字转换、半导体存储器和可编程逻辑器件,每章末尾附有问题和练习。本书结合传统的数字设计技术与最新的数字设计技术编写而成。本书保留了传统的卡诺图逻辑化简方法、布尔方程表达式设计方法和中小型集成电路的相应堆叠技术。内容,增加了采用硬件描述语言(HDL)和可编程逻辑器件(PLD)的现代数字电路设计技术的内容。书中列举了大量基于HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、半导体存储器和数字系统设计实例,供读者参考。每个设计示例都使用电子设计自动化 (EDA) 软件进行编译和仿真,以确保无错误。本教材图文并茂,通俗易懂,配有电子课件和《数字电路学习指导与实验》教程教材,可作为高校工科相关专业的教材和参考资料。供相关工程技术人员参考。

作者介绍

蒋国强,男,桂林电子科技大学教授,长期从事《数字逻辑电路》、《微机原理》、《EDA技术与应用》等课程的研究生和本科生教学, 《SOPC技术与应用》。 2007年获得美国ALTERA公司“FPGA终身教学成果奖”。

前言阅读

第二版前言

1990年代,世界上电子和计算机技术先进的国家一直在积极探索新的电子电路设计方法,并对设计方法和工具进行了彻底的变革,并取得了巨大的成功。在电子设计技术领域,可编程逻辑器件(PLD)的应用得到了很好的普及,这些器件为数字系统的设计带来了极大的灵活性。由于该装置可以通过软件编程重构其硬件结构和工作模式,使硬件设计可以像软件设计一样方便快捷,极大地改变了传统的数字系统设计方法、设计过程和设计理念。随着可编程逻辑器件集成规模的不断扩大,自身功能的不断完善,以及计算机辅助设计技术的提高,现代电子系统设计领域的电子设计自动化(EDA)技术应运而生。时代要求。传统的数字电路设计模式,如使用卡诺图的逻辑简化方法、布尔方程表达式设计方法以及中小规模集成电路相应的堆叠技术正在迅速退出历史舞台。

本书是基于硬件描述语言HDL(Hardware Description Language)编写的。目前世界上最流行的两种成为IEEE标准的硬件描述语言是VHDL和Verilog HDL,两种HDL各有特点。但是,Verilog HDL 是在 C 语言的基础上发展而来的。只要你有C语言的编程基础,学习和掌握这门语言都很容易,而且国内外90%的电子公司都使用Verilog HDL作为企业标准设计语言,因此本教材主要使用Verilog HDL作为数字电路和系统的设计工具。

考虑到以卡诺图作为逻辑简化方法和相应设计技术的传统数字电路设计模式在国内大部分高校仍在使用,本书保留了这部分内容,并在基础上增加了新版本Verilog HDL 现代数字电路设计技术。通过对两种设计技术的比较,读者可以更好地体会到现代数字电路设计技术的优越性和高效性。

本书第一版于2010年出版,承蒙广大读者的厚爱,被国内多所高校选为教材。

第 3、4、5、6、9 章中基于 Verilog HDL 的设计内容在第二版中进行了修订,使数字电路设计的 Verilog HDL 源程序更加简洁明了。

本书共10章:

第一章数制与编码,介绍了脉冲信号和数字信号的特点、数制及其转换、二进制-十进制编码和字符编码。

第 2 章,逻辑代数和硬件描述语言的基础,介绍分析和设计数字逻辑电路的数学方法。首先介绍了逻辑代数的基本概念、逻辑函数及其表示方法、基本公式、常用公式和重要定理,然后介绍了硬件描述语言的基本知识,作为数字逻辑电路的设计基础。

第3章,门,介绍晶体管、TTL集成门和CMOS集成门的开关特性。对于每一个门电路,除了介绍其电路结构、工作原理和逻辑功能外,还重点讨论了它们的电气特性,为这些器件的实际使用奠定了基础,最后介绍了基于Verilog HDL的门电路设计.

第四章组合逻辑电路,介绍组合逻辑电路的特点,组合逻辑电路的分析方法和设计方法。在组合逻辑电路的分析内容方面,以加法器、编码器、解码器、数据选择器、数据比较器、奇偶校验器等电路的分析为例,常用的组合逻辑电路的结构、工作原理、逻辑是介绍了。功能、使用方法和主要用途为读者使用这些设备奠定了基础。在组合逻辑电路的设计内容上,除了介绍传统的设计方法外,还重点介绍了基于Verilog HDL的设计方法。最后介绍了组合逻辑电路中的竞争冒险。

第5章,触发器,介绍了触发器的种类、电路结构和功能表示,介绍了基于Verilog HDL的触发器设计,为时序逻辑电路的研究奠定了基础。

第6章时序逻辑电路,介绍时序逻辑电路的结构和特点,常用集成时序逻辑元件的功能和用途,时序逻辑电路的分析方法,传统时序逻辑电路的设计方法和时序逻辑电路。逻辑基于 Verilog HDL 设计方法的逻辑电路。

第7章脉冲单元电路,介绍矩形脉冲信号的产生和整形电路。 555定时器是一种多用途数/模混合集成电路。本章重点介绍555定时器,介绍由它组成的多谐振荡器、施密特触发器和单稳态触发器电路,并介绍555定时器。以其他方式构成的脉冲单位电路。

第8章数模和模数转换,介绍了D/A转换器和A/D转换器的原理、电路结构和主要技术指标,还介绍了集成的D/A转换芯片DAC0832以及集成A/D转换器转换芯片ADC0809的内部结构、工作原理和使用方法。

第9章半导体存储器,首先介绍半导体存储器的结构和分类,然后介绍半导体存储器(RAM和ROM)的工作原理和用途,还介绍了组合逻辑中的只读存储器ROM和可编程逻辑阵列PLA .电路设计的应用,最后介绍了基于Verilog HDL的半导体存储器设计。

第10章可编程逻辑器件,介绍可编程逻辑器件(PLD)的基本原理、电路结构和编程方法。

书中列举了大量基于Verilog HDL的门电路、触发器、组合逻辑电路、时序逻辑电路、存储器和数字系统设计的例子,供读者参考。每个设计实例均由 EDA 工具软件编译和仿真,以确保正确性。

本书的逻辑电路图尽量采用国标GB4728.12-85(即国标IEC617-12)。为了读者的习惯,保留了国际和国内常用符号。

本书配有电子课件,可从华信教育资源网www.hxedu.com.cn下载。还配有辅导教材《数字电路学习指南与实验》,可一并选读。

本书由桂林电子科技大学姜国强、秦琴主编。如有不足之处,请指正。

邮箱:hmjgq@gliet.edu.cn

地址:桂林电子科技大学退休办(541004)

电话:(0773) 5601095、13977393225

阅读剩余
THE END